(0)FPGA开发实战——前言

前言

本专栏为FPGA的编程练习,使用的软件为Quartus 13.0和仿真软件Modelsim 10.4。具体的软件安装这里不再赘述,读者可自行攻略。

本文是在观看B站野火的fpga教学视频以及对应的教学资料的基础上,结合本人自己的知识水平写成的,目的主要还是为了加深一下理解并记录一下,方便以后的翻阅或者是重温知识点,如果还能顺便帮助广大读者,那便是再好不过了的。

本文需要一定的数字电路基础(数电)和verilog语法等知识,本人本科时已经学习过数电,没有学习的读者可以自己在网上搜索视频或者购买相关书籍自行阅读。数电需要掌握进制,卡诺图,门电路,组合电路和时序电路,寄存器,模数和数模转化等知识。verilog语法的知识,可以先看书,然后可以在HDLBits这个网站上做做练习题,加深对verilog的理解,同时巩固一下数电的部分知识。verilog知识还是建议读者尽量熟悉,包括但不仅限于模块,逻辑门,组合电路,时序电路的代码编写等,时间有限的读者可以在HDLBits上写到Sequential Logic即可,并且网上也有参考答案。

本文是本人的一些粗浅理解,本人目前还在本科的学习阶段,对某些知识的理解可能不够深刻,还望读者可以适时指出我的错误。